E课网 数字IC验证,网盘下载(54.21G)

E课网 数字IC验证,网盘下载(54.21G)

E课网 数字IC验证,网盘下载(54.21G)

E课网 数字IC验证目录,大小:[54.21G]

ic验证-sv

文本资料

systemverilogforverification3rdedition

systemverilogforverification3rdsourcecode

任务1:sv_01_course_overview

任务10:sv_09_threads_ipc

任务11:sv_10_assertion_summary

任务12:sv_11_sva_sequence

任务13:sv_13_coverage

任务2:sv_02_verification_environment

任务3:sv_03_interface_program

任务4:sv_04_data_types_01

任务5:sv_04_data_types_02

任务6:sv_05_program_basics

任务7:sv_06_oop

任务7-1:sv_06_oop

任务8:sv_07_randomization

任务9:sv_08_fork_and_communication

questasim

romqusi106c_x64

romqusi106c_x86

sv练习

lec1

data_type

interface_type

string_type

sv_for_design

lec2

array_type

class_encapsulation

class_inheritance

package_usage

lec3

constrained_random

interprocess_sync

task_and_function

thread_control

virtual_methods

sv实验

faq

faq_mcdt

lab0

tb1

lab1

tb1

tb2

tb2_ref

tb3

tb3_ref

tb4

tb4_ref

lab2

breakpoint

race

simstart

tb1

tb1_ref

tb2

tb2_ref

tb3

tb3_ref

tb4

tb4_ref

lab3

chnl_pkg1

chnl_pkg2

chnl_pkg3

chnl_pkg3_ref

tb1

tb2

tb3

tb3_ref

lab4

arb_pkg

chnl_pkg

fmt_pkg

mcdf_pkg

rpt_pkg

tb

lab5

chnl_pkg

mcdf_pkg

reg_pkg

tb

makefile

lab0

makefile

lab1

makefile

lab3

makefile

lab4

makefile

lab5

makefile

mcdf设计

v0

arbiter

formater

mcdf

param_def

reg

slave_fifo

mcdt设计

v0

arbiter

mcdt

slave_fifo

v1

arbiter

mcdt

slave_fifo

实验0

实验1

实验2

实验3

实验4

uvm入门进阶实验

uvm_basic_labs

lab0

makefile

sv_class_inst

uvm_class_inst

uvm_compile

uvm_test_inst

lab1

factory_mechanism

factory_mechanism_ref

makefile

phase_order

phase_order_ref

uvm_config

uvm_config_ref

uvm_message

uvm_message_ref

uvm_object_methods

uvm_object_methods_ref

lab2

chnl_pkg

fmt_pkg

makefile

mcdf_pkg

reg_pkg

tb

lab3

arb_pkg

chnl_pkg

chnl_pkg_ref

fmt_pkg

fmt_pkg_ref

makefile

mcdf_pkg

mcdf_pkg_ref

reg_pkg

reg_pkg_ref

tb

lab4

chnl_pkg

chnl_pkg_ref

coverage_lab

fmt_pkg

fmt_pkg_ref

makefile

mcdf_pkg

mcdf_pkg_ref

reg_pkg

reg_pkg_ref

lab5

chnl_pkg

fmt_pkg

makefile

mcdf_pkg

mcdf_pkg_ref

mcdf_rgm_pkg

mcdf_rgm_pkg_ref

reg_pkg

tb

uvm实战

mcdf_v2

formater

mcdf

param_def

reg_if

rr_arbiter

slave_node

sync_dff_fifo

uvm_advanced_lab1

apb_pkg_origin

apb_if

apb_master_driver

apb_master_driver

apb_master_monitor

apb_master_seq_lib

apb_slave_driver

apb_slave_driver

apb_slave_monitor

apb_transfer

apb_pkg_ref

apb

apb_config

apb_if

apb_master_driver

apb_master_monitor

apb_master_monitor

apb_master_seq_lib

apb_pkg

apb_slave_driver

apb_tests

apb_transfer

template_pkg

template

template_config

template_if

template_master_agent

template_master_agent

template_master_driver

template_master_driver

template_master_monitor

template_master_monitor

template_master_seq_lib

template_master_sequencer

template_master_sequencer

template_pkg

template_slave_agent

template_slave_agent

template_slave_driver

template_slave_driver

template_slave_monitor

template_slave_monitor

template_slave_seq_lib

template_slave_sequencer

template_slave_sequencer

template_transfer

uvm_advanced_lab2

apb_pkg_lab

apb_if

apb_slave_driver

apb_tests

apb_pkg_ref

apb_if

apb_master_monitor

apb3_pkg_ref

apb_if

uvm_advanced_lab3

apb_pkg

apb

apb_config

apb_if

apb_master_agent

apb_master_agent

apb_master_driver

apb_master_driver.sv

apb_master_driver

apb_master_monitor

apb_master_monitor

apb_master_seq_lib

apb_master_seq_lib.sv

apb_master_sequencer

apb_master_sequencer

apb_pkg

apb_slave_agent

apb_slave_agent

apb_slave_driver

apb_slave_driver.sv

apb_slave_driver

apb_slave_driver.svh

apb_slave_monitor

apb_slave_monitor

apb_slave_seq_lib

apb_slave_sequencer

apb_slave_sequencer

apb_tb

apb_tests

apb_transfer

chnl_pkg

chnl_pkg_ref

fmt_pkg

fmt_pkg_ref

mcdf_pkg

mcdf_pkg_ref

mcdf_regs_v1

mcdf_regs_v2

mcdf_rgm_pkg

rkvgenrgm

tb

uvm_advanced_lab4

apb_pkg

apb

apb_transfer

chnl_pkg

dpi

dpi_ref

fmt_pkg

mcdf_pkg

mcdf_pkg_ref

mcdf_rgm_pkg

mcdf_rgm_pkg_ref

questasim-gcc-4.5.0-w64vc12

sv与c联步骤

tb

python-3.7.0-amd64

v2实验工具安装包

questaruvm安装包

questaruvm安装说明

ruvm_4.9

v2

gcc安装及dpi-c联调说明

questasim-gcc-4.5.0-w64vc12

git-2.26.2-64-bit

gvim_plugins

python-3.7.0-amd64

qsim10.6c-win32安装包

qsim10.6c-win64安装包

qsim工具使用文档

strawberry-perl-5.30.2.1-64bit

vivado

vivado安装包

视频教程

第11讲与vivado设计流程相关的一些技巧

第12讲时序分析中的基本概念和术语

第13讲创建基本时钟周期约束

第14讲设置输入延时约束

第15讲设置输出延时约束

第16讲虚拟时钟

第17讲设置多周期路径约束

第18讲设置伪路径

第19讲约束的优先级

第1讲vivado设计流程及使用模式

第20讲综合后的设计分析(1):资源与扇出分析

第21讲综合后的设计分析(2):时序分析

第22讲ultrafast设计方法学(1):初识ultrafast

第23讲ultrafast设计方法学(2):时钟

第24讲ultrafast设计方法学(3):rtl代码风格(1)

第25讲ultrafast设计方法学(4):rtl代码风格(2)

第26讲ultrafast设计方法学(5):时序约束

第27讲ultrafast设计方法学(6):定义时钟分组

第28讲ultrafast设计方法学(7):如何管理ip约束

第29讲ultrafast设计方法学(8):在vivado中使用设计规则检查

第2讲用三个demo讲解如何在设计中使用ip

第30讲ultrafast设计方法学(9):理解实现策略

第31讲ultrafast设计方法学(10):时序收敛之时序约束基本准则

第32讲ultrafast设计方法学(11):时序收敛之10个时序收敛技巧

第33讲功耗估计和优化

第34讲利用vivadoipintegrator进行设计开发

第35讲tcl在vivado中的应用(1):编辑网表

第36讲tcl在vivado中的应用(2):定制报告

第37讲tcl在vivado中的应用(3):使用hookscript

第38讲tcl在vivado中的应用(4):嵌入自定义tcl命令

第39讲tcl在vivado中的应用(5):使用xilinxtclstore

第3讲基于xsim的逻辑仿真

第40讲tcl在vivado中的应用(6):工程模式下的设计流程管理

第41讲tcl在vivado中的应用(7):非工程模式下的设计流程管理

第4讲基于modelsim的逻辑仿真(demo工程文件与第三讲一致

第5讲综合的基本设置和综合属性

第6讲实现

第7讲增量实现

第8讲vivado里最常用的5个tcl命令

第9讲编程与调试

新建文本文档

nxvisk17412161.part01

nxvisk17412161.part02

nxvisk17412161.part03

nxvisk17412161.part04

nxvisk17412161.part05

nxvisk17412161.part06

nxvisk17412161.part07

nxvisk17412161.part08

nxvisk17412161.part09

nxvisk17412161.part10

nxvisk17412161.part11

nxvisk17412161.part12

nxvisk17412161.part13

nxvisk17412161.part14

nxvisk17412161.part15

nxvisk17412161.part16

nxvisk17412161.part17

nxvisk17412161.part18

nxvisk17412161.part19

nxvisk17412161.part20

nxvisk17412161.part21

nxvisk17412161.part22

nxvisk17412161.part23

nxvisk17412161.part24

nxvisk17412161.part25

nxvisk17412161.part26

nxvisk17412161.part27

nxvisk17412161.part28

nxvisk17412161.part29

nxvisk17412161.part30

nxvisk17412161.part31

nxvisk17412161.part32

nxvisk17412161.part33

nxvisk17412161.part34

nxvisk17412161.part35

nxvisk17412161.part36

nxvisk17412161.part37

nxvisk17412161.part38

nxvisk17412161.part39

nxvisk17412161.part40

nxvisk17412161.part41

nxvisk17412161.part42

nxvisk17412161.part43

nxvisk17412161.part44

nxvisk17412161.part45

答疑更新

答疑更新

直播答疑-10

直播答疑-11

直播答疑-12

直播答疑-13

直播答疑-14

直播答疑-15

直播答疑-3

直播答疑-4

直播答疑-5

直播答疑-6

直播答疑-7

直播答疑-8

直播答疑-9

v2春季就业班

芯片验证漫游指南附代码

第二部分

051-随机约束和分布2

052-约束块控制

053-数组约束

054-随机控制

055-实验3代码讲解1

056-实验3代码讲解2

057-实验3代码讲解3

058-实验3代码讲解4

059-(第9节)随机函数

060-验证的方法1

061-验证的方法2

062-验证的方法3

063-验证的方法4

064-验证的方法5

065-uvm简介

066-uvm组件和环境

067-线程的使用

069-线程的控制

070-线程间的通信1

071-线程间的通信2

072-线程间的通信3

073-实验4代码讲解1

074-实验4代码讲解2

075-实验4代码讲解3

076-实验4代码讲解4

077-实验4代码讲解5

078-覆盖率类型

079-功能覆盖策略

080-覆盖组

081-数据采样1

082-数据采样2

083-覆盖选项

084-数据分析

085-类型转换

086-虚方法

087-对象拷贝

088-回调函数

089-参数化的类

090-实验5代码讲解1

091-实验5代码讲解2

092-验证方法学概述

093-类库地图

094-工厂机制1

095-工厂机制2

096-覆盖方法

097-核心基类

098-phase机制

099-config机制

100-消息管理

第三部分

101-uvm入门实验0讲解

102-uvm入门实验1讲解1

103-uvm入门实验1讲解2

104-组件家族

105-monitor

106-agent

107-scoreboard

108-test

109-uvm结构回顾

110-mcdf顶层验证方案

111-构建验证环境的内经

112-uvm入门实验2代码讲解1

113-tlm通信

114-单向通信

115-双向通信

116-多向通信

117-通信管道

118-tlm2通信

119-同步通信元件1

120-同步通信元件2

121-同步通信元件3

122-uvm入门实验3代码讲解

123-新手上路

124-sequence和item

125-sequencer和driver1

126-sequencer和driver2

127-sequencer和sequence1

128-sequencer和sequence2

129-sequencer和sequence3

130-sequence的层次化1

131-sequence的层次化2

132-uvm入门实验4代码讲解

133-寄存器模型概览

134-寄存器模型集成1

135-寄存器模型集成2

136-寄存器模型的常规方法1

137-寄存器模型的常规方法2

138-寄存器模型的应用场景

139-uvm入门实验5代码讲解

140-mcdf设计更新

141-amba标准接口

142-uvm验证环境更新策略

143-验证ip模板1

144-验证ip模板2

145-vip的开发

146-vip的发布

147-uvm实战1实战代码讲解1

148-uvm实战1实战代码讲解2

149-assertion介绍1

150-assertion介绍2

151-sequence定义1

152-sequence定义2

153-sequence定义3

154-property使用

155-assertion检查及覆盖率应用

156-uvm实战实验2代码讲解

157-设计描述信息的标准化

158-寄存器描述文件

159-uvm寄存器模型的自动化

160-channel组件的更新

161-formatter组件的更新

162-环境复用的评估

163-序列复用的评估

164-svdpi接口1

165-svdpi接口2

166-svdpi接口3

167-虚拟处理器的实现1

168-虚拟处理器的实现2

169-uvm的c测试环境

170-寄存器模型的深度应用

171-寄存器覆盖率

172-总线解析

173-性能分析

第一部分

001-课程介绍

002-开学典礼

003-芯片开发概述1

004-芯片开发概述2

005-芯片验证的职业前景

006-验证的任务和目标

007-验证的周期

008-数据类型1

009-数据类型2

010-数据类型3

011-过程块和方法

012-设计例化和连接

013-questasim基本使用

014-直播答疑-1

015-测试平台

016-硬件设计功能描述1

017-硬件设计功能描述2

018-激励发生器

019-监测器

020-比较器

021-验证结构

022-接口interface

023-采样和数据驱动1

024-采样和数据驱动2

025-测试的开始和结束

026-调试方法

027-课间练习代码及调试操作

028-实验1验证结构

029-实验1代码讲解

030-直播答疑-2

031-验证计划概述

032-验证计划的内容

033-验证计划的实现

034-验证计划的进程评估

035-类和对象的概述1

036-类和对象的概述2

037-类的成员

038-类的继承

039-句柄的使用

040-包的使用

041-实验2验证结构

042-实验2代码讲解

043-直播答疑-3

044-验证的周期

045-验证管理的三要素

046-验证的收敛

047-问题追踪

048-团队建设

049-验证的专业化

050-随机约束和分布1

讲义

01-课程介绍

02-sv通识一

03-sv语言一

04-sv通识二

05-sv语言二

06-sv通识三

07-sv语言三

08-sv通识四

09-sv语言四

10-sv通识五

11-sv语言五

12-sv语言六

13-sv语言七

14-uvm入门和进阶1

15-uvm入门进阶2

16-uvm入门进阶3

17-uvm入门进阶4

18-uvm入门进阶5

19-uvm入门进阶6

20-uvm入门进阶7

21-uvm入门进阶8

22-uvm入门进阶9

23-uvm入门进阶10

24-uvm项目实战1

25-uvm项目实战2

26-uvm项目实战3

27-uvm项目实战4

28-uvm项目实战5

29-uvm项目实战6

30-uvm项目实战7

31-uvm项目实战8

路科验证_v0课程资料

把大象装进冰箱系列-sv实验

sv_labs

labs

lab1

router_io

router_test_top

test

rtl

bad

router

router

solutions

lab1

router_io

router_test_top

test

lab2

router_io

router_test_top

test

lab3

router_io

router_test_top

test

lab4

packet

router_io

router_test_top

test

lab5

driver

driverbase

generator

packet

receiver

receiverbase

router_io

router_test

router_test_top

scoreboard

test

lab6

driver

driverbase

environment

generator

packet

receiver

receiverbase

router_io

router_test

router_test_pkg

router_test_top

scoreboard

test

systemverilogtestbenchlabguide

参考文档

ieee_1800-2012_systemverilog

questasim_10.4_docs

文档

实验指导文档

uvm实验0

uvm实验1

uvm实验2

uvm实验3

uvm实验4

uvm实验5

实验0

实验1

实验2

实验3

实验4

实验5

ieee_1800-2012_systemverilog

questasim_10.4_docs

uvm_users_guide_1.1

uvm-cookbook-complete-verification-academy

[路科验证]ic验证电子书合集_2019

uvm实战_example_and_uvm_source_code.tar

芯片验证漫游指南

下载地址:

通过百度网盘下载,如下载连接失效,在文章底部评论,24小时内补连接。

抱歉,此资源仅限VIP下载,请先
下载价格:VIP专享
此资源仅对VIP开放下载

评论0

  • 昵称 (必填)
  • 邮箱 (必填)
  • 网址
没有账号? 忘记密码?